- UpvoteDownvoteShare Job
- Suggest Revision
Role 3: Supply Chain & PLM & Direct Procurement Techno-Functional Business System Analyst Supply Chain Techno-Functional Business System Analyst who brings a deep understanding of SAP and Siemens Teamcenter to drive the integration and optimization of our supply chain processes and technology platforms.
Full-timeExpandApply NowActive JobUpdated 1 month ago - UpvoteDownvoteShare Job
- Suggest Revision
Draw on extensive expertise in Chemical Engineering and Materials Science to identify promising catalyst materials, define functional requirements, establish and execute test plans and characterization studies on the component level, and evaluate performance and degradation against established metrics.
Full-timeExpandApply NowActive JobUpdated 2 months ago - UpvoteDownvoteShare Job
- Suggest Revision
The Sr. Mechanical Engineer will be working with multi-disciplinary teams and leads cross-functional teams to maintain and improve the design, production, and service of the Ion™ System. Lead cross-functional teams with ownership to maintain and improve the design, production, and service of the Ion™ System.
$122,000 - $206,500 a yearFull-timeExpandApply NowActive JobUpdated 25 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Modeling of light-matter interaction in bulk solids and nanoparticles, including knowledge of time-dependent density functional theory and/or non-equilibrium Green's functions. Modeling of light-matter interaction in bulk solids and nanoparticles, including knowledge of time-dependent density functional theory and/or non-equilibrium Green's functions.
$150Full-timeExpandApply NowActive JobUpdated Yesterday - UpvoteDownvoteShare Job
- Suggest Revision
Ability to interface with other cross-functional teams, including CAD, FPGA, Power, Mechanical, Signal integrity and Manufacturing teams. Demonstrated experience working with ODMs and overseas multi-functional teams.
Full-timeExpandApply NowActive JobUpdated 1 month ago - UpvoteDownvoteShare Job
- Suggest Revision
You will collaborate closely with the Product Marketing Manager and form strategic partnerships across functional teams, including product, sales, and customer success, to effectively share the Coactive story.
$98,000 - $128,000 a yearFull-timeExpandApply NowActive JobUpdated 1 month ago - UpvoteDownvoteShare Job
- Suggest Revision
Knowledge of DFT(Scan/MBIST/Functional Pattern) is a plus. languages, digital image processing and chip-level tape out procedure from initial PRD, design, verification, timing closure, FPGA emulation and ECO.
ExpandApply NowActive JobUpdated Yesterday - UpvoteDownvoteShare Job
- Suggest Revision
Define and specify micro-architecture of SOC building blocks and necessary infrastructure based on architecture, PPA, DFT, Functional Safety requirements. Chip level Functional Safety analyses such as FMEDA and DFA.
Full-timeExpandApply NowActive JobUpdated 1 month ago - UpvoteDownvoteShare Job
- Suggest Revision
This position requires strong cross-functional team building skills, including Marketing, System Engineering, Program Management, Product Engineering, Test Engineering, Wafer Fabrication Engineering, Design Enablement, Component Engineering, Reliability Engineering, Operations, Finance and Human Resources.
$250ExpandApply NowActive JobUpdated Yesterday - UpvoteDownvoteShare Job
- Suggest Revision
Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, DFT mode timing constraints, back-annotated gate level verification, silicon debug, memory and scan diagnostics.
$250ExpandApply NowActive JobUpdated 2 days ago - UpvoteDownvoteShare Job
- Suggest Revision
3+ years software testing experience with a focus on functional test definition and test planning, test automation at all levels of the testing pyramid, and scalability and load testing and performance tooling in large scale, distributed systems.
ExpandApply NowActive JobUpdated 7 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Understanding of power management devices with any of functional blocks: Buck, Boost, LDO, Charger, Driver, MCU etc. Participate in cross-functional teams to support engineering test projects, design verification testing, customer return case, qualification testing.
$150ExpandApply NowActive JobUpdated Yesterday - UpvoteDownvoteShare Job
- Suggest Revision
This role requires someone who acts as a growth marketing thought leader, has a track record of beating business targets via innovative omni-channel campaigns, develops envelope-pushing solutions, crafts compelling data narratives, can influence cross-functional partners.
$115,000 - $180,000 a yearFull-timeExpandApply NowActive JobUpdated 7 days ago - UpvoteDownvoteShare Job
- Suggest Revision
You will work with hardworking and dedicated multi-functional engineering teams across various vehicle subsystems to integrate their work into our AV SW platform, while achieving or exceeding all meaningful NVIDIA and automotive standards & guidelines.
Full-timeExpandApply NowActive JobUpdated 3 months ago - UpvoteDownvoteShare Job
- Suggest Revision
Must have experience with high-speed SERDES (>=25G) system design, signal integrity issues, and power distribution techniques. We are not looking for expertise in ASIC - components (FPGA components from board design point of view, not RTL or coding.
ExpandApply NowActive JobUpdated 1 month ago
functional job Company: Apolis in San Jose, CA
FEATURED BLOG POSTS
How to Avoid a Bad Hire
"A new employee who doesn't meet the minimum performance, quality, and culture fit standards you set when you began sourcing and recruiting. Additionally, bad hires will immediately show signs of self-interest instead of an interest in their role and the company."
How to Ask for a Letter of Recommendation
When the job board you subscribe to finally posts your dream job, you may feel like the stars have aligned. But part of securing a position that matches your career plan is ensuring you address all the application basics. You know, the resume, the cover letter, the portfolio. It seems like you've got this in the bag — until you realize they want a letter of recommendation, too!
16 Tech Jobs You can Get Without a College Degree
You might think that if you don’t have a computer science, information technology, or related degree, then there’s absolutely no way you can break into the technology field and score a high-paying tech job. But this is a misconception. There are actually tons of tech jobs out there that don’t require a college degree. Instead, employers are more interested in the skills that you can offer. So, read on to learn more about how to land tech jobs without a degree.
What Are SMART Goals?
When it comes to achieving our goals, there’s a lot of noise to work through. A study by the University of Scranton has found that only 8% of people who set New Year’s resolutions actually achieve them. Our busy lives might be one reason for this. Another, even more important reason, is our approach to goal setting. Being too vague, too ambitious or simply unclear on the timeframe can set us up for failure.
The Best Remote Jobs: Where & How to Find Them
The Covid-19 pandemic hasn’t been easy on any of us, but if there’s one silver lining, it’s the fact that remote work has grown in popularity because of it. Companies that previously weren’t open to their employees working remotely were suddenly forced into allowing it. Since then, they've realized that much of their workforce is happier and more productive. Naturally, this has led to more remote job openings, which is great if you’re interested in this type of position. Read on to learn more about the best remote jobs and where to find them.
In-House vs Outsourcing Recruiting: Which is Better?
When looking at in-house vs outsourcing recruiting, it is important to nail down the benefits for each and whether those benefits outweigh the risks that follow.
How to Write a Short Bio
First impressions can be tricky. When meeting someone in person, it’s likely you have an elevator speech. These short, practiced introductions can help you share more about who you are, what you do for work and other facts about you. While this works well in a live, in-person context, there are many cases where professionals “meet” someone via written form - like social media, a resume, or published work. In these cases, your bio works as your first impression. So, here’s a step-by-step guide on how to write a short bio.