Upvote
Downvote
ASIC Design And Integration Engineer - Pixel IP
Share Job
- Suggest Revision
$170,700 - $300,200 a year
- ASIC Design and Integration Engineer - Pixel IP
- Experience in IP/SoC front-end ASIC RTL digital logic design using Verilog and System Verilog.
- Industry exposure to and knowledge of ASIC/FPGA design methodology, especially logic synthesis, static timing analysis, logic equivalence checking, and working with physical design teams for floorplanning and timing closure.
- Experience in low-power design issues, tools, and methodologies including UPF power intent specification effective.
- Industry exposure to and knowledge of ASIC/FPGA design methodology including familiarity with relevant scripting languages (Python, Perl, TCL).
Active Job
Updated 8 days agoSimilar Job
Relevance
Active