- UpvoteDownvoteShare Job
- Suggest Revision
Our services include scheduling, registration, insurance verification, hospital billing, revenue integrity, collections, payment compliance, credentialing, health information management, customer service, payroll and physician billing.
ExpandApply NowActive JobUpdated 5 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Fully Remote) Coding and Billing Specialist, Revenue Cycle, UT Health Austin, Dell Medical School. Facilitates the revenue cycle process following patient accounts through the entire billing process after charge entry to completion of payment process working within Dell Medical School's electronic health record (EHR.
$50,000Full-timeRemoteExpandApply NowActive JobUpdated Yesterday - UpvoteDownvoteShare Job
- Suggest Revision
Responsible for architecting, developing, debugging and running UVM based verification environment for RTL simulation. Degree in Electrical Engineering or Computer Science, with 10+ years of experience on IP/Sub-System Verification.
ExpandApply NowActive JobUpdated 5 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Design using Verilog, logic simulation, functional verification, & synthesis of DSP & data conversion ICs in a mixed signal environment. The position also requires coursework in or equivalent knowledge of Digital Integrated Circuit Design, Advanced VLSI Design, Hardware verification; the ability to perform RTL coding using Verilog, System Verilog; proficiency with languages like C/C.
Full-timeExpandApply NowActive JobUpdated 10 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Core DFT skills considered for this position should include some of the following: Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, back-annotated gate level verification, silicon debug, memory and scan diagnostics.
ExpandApply NowActive JobUpdated 6 days ago - UpvoteDownvoteShare Job
- Suggest Revision
The scope of practice of the diagnostic medical sonographer includes those procedures, acts, and processes permitted by law, for which the individual has received education and clinical experience.
ExpandApply NowActive JobUpdated 5 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Experience Required:Deep working knowledge of all aspects of SoC design in a product setting - floor planning, RTL design, circuit simulation, logic synthesis, place and route, clock tree construction, extraction and timing signoff, signal integrity analysis, layout and reliability verification, and full chip integration.
ExpandApply NowActive JobUpdated 4 days ago - UpvoteDownvoteShare Job
- Suggest Revision
OPEN TO EITHER Medical Lab Technician [2-year MLT degree] or Medical Lab Scientist / Medical Technologist (4-year BS)Looking for a generalist [coagulation, hematology, urinalysis, etc.
ExpandApply NowActive JobUpdated 10 days ago - UpvoteDownvoteShare Job
- Suggest Revision
B. Braun Medical Inc., a leader in infusion therapy and pain management, develops, manufactures, and markets innovative medical products and services to the healthcare industry. B. Braun Medical is headquartered in Bethlehem, Pa., and is part of the B. Braun Group of Companies in the U.S., which includes B. Braun Interventional Systems, Aesculap and CAPS.
ExpandApply NowActive JobUpdated 6 days ago - UpvoteDownvoteShare Job
- Suggest Revision
In-depth knowledge and experience working with low-power design, UPF integration, boot-up, power cycling, and HW/FW interaction verification. Hands-on verification experience of PCIe, Bus Fabric, NOC, AHB, AXI, based bus architecture in UVM environment.
ExpandApply NowActive JobUpdated 5 days ago - UpvoteDownvoteShare Job
- Suggest Revision
As a Design Verification Engineer on our team, you'll be at the center of the verification effort within our silicon design group responsible for crafting and productizing innovative Cellular SoCs.
ExpandApply NowActive JobUpdated 10 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Design using Verilog, logic simulation, functional verification, and synthesis of DSP and data conversion ICs in a mixed signal environment. Additionally, Cirrus Logic is an Equal Opportunity/Affirmative Action Employer, and we do not discriminate on the basis of race, color, national origin, pregnancy status, marital status, gender, age, religion, physical or mental disability, medical condition, veteran status, sexual orientation, gender identity, genetic information or any other characteristic protected by law.
Full-timeExpandApply NowActive JobUpdated 2 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Develop Scalable System Verilog/UVM testbenches for unit level and/or Cluster level verification. Experience in design verification with UVM and System Verilog is a MUST. As a Design Verification Engineer, you will contribute to the functional verification of GPU Subsystems such as Shader, Texture, and Memory Systems.
ExpandApply NowActive JobUpdated 7 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Opportunities span from projects in AI and Machine Learning, processor fabric subsystems, SOC/ASIC products for vision processing, aerospace FPGAs, medical electronics, RISC-V based SoC, ARM based peripherals, and mixed signal DSPs. Successful candidates for this role will support verification of advanced CPU/GPU based SOCs.
RemoteExpandApply NowActive JobUpdated 5 days ago - UpvoteDownvoteShare Job
- Suggest Revision
We are looking for a CPU core level feature / testplan verification engineer responsible for ISA and microarchitectural verification. Functional verification with emphasis on core level testplanning, stimulus development and regression debug for simulation and emulation regressions.
ExpandApply NowActive JobUpdated 5 days ago
insurance verification medical billing jobs in Austin, TX
FEATURED BLOG POSTS
Tightening the HR budget in 2023
With the state of the economy still uncertain, 2023 is expected to be approached with much anticipation. Human Resource leaders have many concerns, including how they will manage to accomplish their goals with budget belts already getting snug. Let’s look at some of the factors that the new year is projected to bring for HR and how to prioritize budgets to reach human capital objectives.
A Comprehensive Guide to Becoming a Better Conversationalist
Have you ever stood awkwardly next to someone at a party because you didn’t know what to say to them? How about at a networking event or on a first date? You're not alone if you’ve ever experienced this uncomfortable silence. Many people struggle to master the art of being a great conversationalist.
Why is Non-Verbal Communication Really Important?
In a world where words and phrases rule daily communication, you may wonder why non-verbal communication is important. Whether you realize it or not, you communicate more with nonverbal actions than you do with verbal communication. When you interact with your peers, people are reading your body language, facial expressions, voice, and many other factors that help fill in blanks that words can't fill.
Making Hybrid Work More Efficient
Covid was a catalyst for change in the work environment. Keeping people safe and helathy was the initial goal for employers, but the unintended result was the considerable demand in remote work. Now, onsite work has been dramatically altered to remote work, which is now transforming into a combination of the two: hybrid work.
How Can HR Technology Help Retain Employees?
Human resources' rapid adoption of technology has led to new ways of streamlining human capital management. Based on the IEE Global Study, these technologies changed how HR handled recruitment and retention in 2022. This includes tech like
Why is Time Management Important? 10 Crucial Importances of Time Management
We’ve all been there before. What starts as a relaxing evening scroll quickly becomes a full-blown binge. You blink, and it’s midnight - throwing off your entire next day before it even starts. And at its worst, this indulgence might leave you feeling behind on things you planned to finish that night. This is why time management is important.
Minimizing Candidate Renegs During the Hiring and Onboarding Process
Candidates reneging on job offers or during the onboarding process can be a frustrating experience for any recruiter. In a talent-driven job market, it’s common for candidates to have more than one job offer to consider. It becomes a race against time to see which organization can offer the best career experience, compensation, and circumstances that secure the right employees.