- UpvoteDownvoteShare Job
- Suggest Revision
Certifications/Education:- AVIXA CTS (CTS-D preferred), - Product certifications: Crestron NVX, Extron, Biamp Tesira, AMX.- CCNCollaboration/Route Switch certification (preferred). - Avixa CTS Certifications (preferred.
$130,844 - $230,533 a yearFull-timeExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Possession of Technology Industry certifications such as RCDD, OSP, DCDC, PSP, CTS, PMP, or other relevant certifications is highly preferred. The ideal candidate prowess in Telecom Infrastructure design, Electronic Security design, and Audiovisual design for both inside plant and outside plant (OSP) and boasts vast expertise in crafting comprehensive technical basis-of-design narratives and excels in writing CSI format Division 27 and 28 specifications.
$130,000 - $145,000 a yearFull-timeExpandApply NowActive JobUpdated 7 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Design experience should include ASIC design using industry-standard tools (Placement, Optimization, CTS, Routing) Design experience should include ASIC design using industry-standard tools (Placement, Optimization, CTS, Routing.
$155,000 - $233,000 a yearFull-timeExpandApply NowActive JobUpdated 2 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Block level physical design includes floorplan, power plan, placement, CTS, timing analysis, and route optimization. As part of the block level implementation, you will need to ensure the floorplan is optimal, congestion issues are resolved, and timing is under control at every stage from synthesis, placement, CTS, and route stages.
ExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Thorough understanding of macro placement, floorplanning, CTS, and routing techniques. Knowledge of analog block integration and low power design principles. Proficiency in Fusion Compiler, Cadence Innovus, and other relevant EDA tools.
ExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Are highly desirableUnderstanding LAN/WAN protocol like Ethernet, wireless, routing protocolsEncapsulation protocols like MPLS / MPLS-TE, GRE, LISP, EoMPLS, VPLS, MGRE, VXLAN, LDP/mLDP, BonjourNetflow / Telemetry / AnalyticsMACsec, CTS, SGACL, IPSEC, First Hop Security, ACL Policies, Network Data Analytics, Dot1XWhy Cisco.
ExpandApply NowActive JobUpdated 2 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Certified Technology Specialist-CTS (Preferred) Proficient with Microsoft Office suite, Smartsheet and the following design software platforms (Revit, AutoCAD, SketchUP, and Vizio). Strong understanding of IT/Networking/AV.
ExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Are highly desirableUnderstanding LAN/WAN protocol like Ethernet, wireless, routing protocolsEncapsulation protocols like MPLS / MPLS-TE, GRE, LISP, EoMPLS, VPLS, MGRE, VXLAN, LDP/mLDP, BonjourNetflow / Telemetry / AnalyticsMACsec, CTS, SGACL, IPSEC, First Hop Security, ACL Policies, Network Data Analytics, Dot1X.
$160,300 - $232,900ExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
PDS AEs must be able to interact effectively with end-users at customer sites, as well as first level managers. PDS AEs are expected to manage multiple customer activities concurrently, and work with Account Managers and AC management to set their priorities.
ExpandApply NowActive JobUpdated 2 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Engage with GSM teams on costing strategy, ramp plans/yields & automation strategy for CTQ's, CTS & ROI. Engage with GSM teams on costing strategy, ramp plans/yields & automation strategy for CTQ's, CTS & ROI.
ExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Experience with UPF-based low power design methodology, power verification, synthesis, scan insertion/ATPG, formal verification, floorplanning, placement, CTS, routing, IR drop, and EM/antenna analysis.
ExpandApply NowActive JobUpdated 2 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Key Qualifications: Lab skills: High speed oscilloscopes, BERT, logic analyzers, electronic loads, probing techniques Experience with high-speed serial I/O busses is required: example USB3, DisplayPort, Thunderbolt, PCIe Understanding of signal integrity concepts such as differential impedance, jitter, insertion loss, return loss, termination, etc Familiarity with electrical compliance test specifications (CTS) and compliance test suite.
ExpandApply NowActive JobUpdated 11 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Earning and maintaining appropriate AVIXA and project management credentials (e.g., CTS, CAPM, PMP), AVIXA CTS certification, Earning and maintaining appropriate AVIXA and project management credentials (e.g., CTS, CAPM, PMP.
ExpandApply NowActive JobUpdated 2 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Work with design teams for closing CTS, IO timing, DFT timing. Responsible for floor-planning, timing constraints, physical synthesis, formal verification, clock tree optimization, routing, extraction, timing closure, DFT, Antenna fixing &signal integrity, Power grid analysis etc in ASIC PNR Flow.
ExpandApply NowActive JobUpdated Yesterday - UpvoteDownvoteShare Job
- Suggest Revision
As a Senior Physical Design Engineer, you will play an integral role in contributing to the development, deployment, and support of the physical design environment used across multiple SoCs. The candidate is expected to develop and support solutions in a wide variety of activities related to synthesis (Fusion Compiler), hard macro integration, placement, CTS, route, timing correlation, and overall physical convergence.
ExpandApply NowActive JobUpdated Yesterday
cts job in Cupertino, CA
FEATURED BLOG POSTS
Recruitment strategies that are weird, but actually work
In the current candidate-driven job market, recruiters are looking for unique ways to attract talent. Some have resorted to even (dare we say it?) recruitment strategies on the border of weird and wacky. What can we learn from the unusual recruitment tactics that are being used and actually getting results? Here’s a rundown of some unique recruitment strategies that actually work.
How To Make $100K a Year – No BS Strategies & Advice
If you’re like most of us, you’d love to be wealthier. Having more money would alleviate stress. It would make it easier to pay your bills and buy nicer things. Maybe it’d allow you to spend more time with your kids and go on more vacations. You’re not alone if you wish you could somehow earn a more significant income.
How to Write a Recommendation Letter for a Student
When a student applies for their first job or for their next academic degree, they don’t have much experience to showcase their skills and personal qualities. Hiring managers and admissions officers, therefore, often look at recommendation letters as a way to go beyond the student’s GPA and learn more about the student’s skills and personal qualities.
How To Answer “Why Do You Want to Be a Supervisor” in an Interview
Anyone who has worked in a supervisor role knows how challenging yet rewarding it is. But chances are if you're trying to become a supervisor, you'll be forced to answer:
What is Career Cushioning?
Is your organization prepared for “career cushioning”?
Growing Discontent: Employees Wouldn't Wish Their Jobs on Their Worst Enemy
The start of a new year, and most people are already busy setting personal and professional goals. What’s on the top of the list for a growing number of working people is making an exit from the job they currently have. Why?
How to Gracefully Quit a Job You Just Started
You’ve just started learning the ropes at your new job, and you've gotten to know your colleagues. But you’re already thinking about quitting. Maybe you were deciding between to job offers and realized that you’ve made the wrong choice. Or, a change in your personal circumstances means that you have to quit.