- UpvoteDownvoteShare Job
- Suggest Revision
Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, DFT mode timing constraints, back-annotated gate level verification, silicon debug, memory and scan diagnostics.
ExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Collaborating with Legal and other cross-functional teams to uphold privacy compliance and compliance with internal corporate policies and processes. Harvey Nash USA has been engaged to find a talented Privacy Counsel for a Large Professional Networking Client.
$131 an hourExpandApply NowActive JobUpdated 10 days ago - UpvoteDownvoteShare Job
- Suggest Revision
As a team member, be responsible for completing various project activities, from definition, identifying electrical functional requirements, component selection, schematic design, PCB layout, DVT, and production functional test development for PCBAs.
$197,000 a yearFull-timeExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Must Have Technical/Functional Skills ·Sounds knowledge of E-Commerce domain and related business processes for CPQ. ·Understanding of MongoDB, Cassandra, Oracle PLSQL, POSTMAN, XML/JSON. ·Working knowledge of Agile, Scrum, JIRA. Experience Required Min 6 to 10 years of experience Roles & Responsibilities ·Candidate should have IT analyst experience with good communication skills.
Full-timeExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Exhibit sound judgment in developing functional specifications for electrical/ electro-mechanical systems and power distribution components. Collaborate with engineering design teams to design and modify custom and OEM system components, fostering a cross-functional approach to innovation.
$176,000 a yearFull-timeExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Collaborate effectively within a cross-functional team environment, engaging with teams specializing in signal integrity, power integrity, New Product Introduction (NPI), reliability, and certification.
ExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Collaborate closely with cross-functional teams including HR, finance, and workplace strategy to ensure master plans align with people-centric and cost-effective solutions. Strong proficiency of how to use IWMS/CAFM systems (Archibus, Tririga, Manhattan, FMS, etc) and AutoCAD.Advanced proficiency in MS Office Suite (Excel, PowerPoint, Word) is essential.
Full-timeExpandApply NowActive JobUpdated 1 month ago - UpvoteDownvoteShare Job
- Suggest Revision
12+ as enterprise data governance and MDM functional SME or similar role, with focus in Engg. & Mfg. and PLM domain (hands-on experience in PTC Windchill, or any other leading domain solution is preferred.
$230,000 a yearFull-timeExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
General familiarity and interest in frontend development, including: Languages: JavaScript/Typescript/HTML/CSS Frontend frameworks: React/Vue/Angular Packaging frameworks: Webpack/Rollup/BaBel/AST/Gulp- Familiar with key concepts like functional and asynchronous programming, closures and types, layouts, specificity, animation, cross browser compatibility, data security and accessibility.
InternExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
This individual is expected to be customer focused, business savvy, possess strong data, visualization and Power BI skills in addition to being a cross functional team player. This individual is expected to be customer focused, analytically savvy, possess strong data visualization and Power BI skills in addition to being a cross functional team player.
$213,915 a yearFull-timeExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
In this role, you will work closely with cross-functional engineering teams to ensure the robustness of the Component solutions in Supermicro's system. 1+ years of experience in leading cross-functional teams in corporate environment, with solid product promotion experiences in hardware components preferred.
Full-timeExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Electrical Engineers design equipment with the following subsystems: Heater control, Pressure control, 3 phase power delivery, DC power delivery, Cable harness development, Analog control, Digital control, EtherCAT, RS232, embedded controls, RF power delivery, filter development, integration of motion control, safety certification and creation of Software functional specifications.
$259,000 a yearFull-timeExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Collaborate with cross-functional teams (e.g. Production, Design Engineering, Quality Engineering, Supplier Engineering, Project Management) to meet project priorities including Design Verification & Validation and product launch.
$201,800 a yearExpandApply NowActive JobUpdated Today - UpvoteDownvoteShare Job
- Suggest Revision
Collaborating with client’s Legal and other cross-functional teams to uphold privacy compliance and compliance with internal corporate policies and processes. Job Title: Privacy Counsel. As part of client Legal & Public Policy Team, you will support our global Privacy compliance.
$130 an hourExpandApply NowActive JobUpdated 10 days ago - UpvoteDownvoteShare Job
- Suggest Revision
Excellent knowledge and experience of ASIC verification flows including test bench development, constrained random testing, and code/functional coverage. In this highly visible role, you will be at the center of a silicon design group with a critical impact on getting functional wireless products to hundreds of millions of customers quickly.
ExpandApply NowActive JobUpdated 6 days ago
functional job in Milpitas, CA
FEATURED BLOG POSTS
Why is Time Management Important? 10 Crucial Importances of Time Management
We’ve all been there before. What starts as a relaxing evening scroll quickly becomes a full-blown binge. You blink, and it’s midnight - throwing off your entire next day before it even starts. And at its worst, this indulgence might leave you feeling behind on things you planned to finish that night. This is why time management is important.
Minimizing Candidate Renegs During the Hiring and Onboarding Process
Candidates reneging on job offers or during the onboarding process can be a frustrating experience for any recruiter. In a talent-driven job market, it’s common for candidates to have more than one job offer to consider. It becomes a race against time to see which organization can offer the best career experience, compensation, and circumstances that secure the right employees.
10 Reasons to Be on Time at Work
Being punctual at work may not be something you’ve given much thought to, but it’s the foundation for building a successful career. All of your technical or job-specific skills will be in vain if your peers and superiors can’t trust you to show up on time and do the work. In fact, Simon Sinek once famously said that
Recruiting in a Recession: Hard Truths That Talent Acquisition Experts Must Accept
The summer had economists from around the globe embroiled in a debate about a possible recession coming in the next few years (or months). As of October 2022, the U.S. Labor Department data put the current inflation rate at 7.7%. The recent layoffs in the tech industry are just the first of what is soon to be a string of cutbacks by companies looking to save costs. For recruiters, this means freezes in hiring and fewer openings. It will also include the uphill task of finding the best candidates for them from the coming influx of recently laid-off job seekers. Now is probably a good time to brace for tough times in the next few years in the talent acquisition industry. To survive and thrive recruiting in a recession, here are some hard truths you will need to accept.
10 Importancies of Setting Realistic Goals
We’ve all heard how important it is to set professional and personal goals. Developing and establishing goals keeps us motivated and moving forward in life. But not all goals are created equal. If you’re chasing goals that are too lofty, you’ll end up disappointed when you cannot reach them. Setting goals that are achievable and measurable is the key to success.
Email Etiquette Principles - Why is it Important
Why is email etiquette important? Let's imagine you're hiring for a new role, and you’ve just received the email below.
10 Reasons HR is Important to an Organization
"Nothing we do is more important than hiring and developing people."